Recommended Articles – August 2014

A library for upgrading SystemVerilog’s capabilities. It handles file, string manipulation routines and more:
Verilab: Library code – svlib
Verilab: System Verilog, Batteries included

A library for enhancing SystemVerilog types and their pseudo-methods:
ClueLogic: CluLib Online Documentation
ClueLogic: ClueLib code
ClueLogic: Sharing Generic Class Libraries in SystemVerilog Makes Coding Fun Again

Article describing how to handle SV-VIP coverage customization, which also triggers the question: does SystemVerilog really cover verification needs?
VerificationHorizon: Increasing Verification Productivity Through Functional Coverage Management Automation

Learn what you should do and what you should NOT when implementing coverage in SystemVerilog:
VerificationHorizon: Functional Coverage Development Tips: Do’s and Don’ts

SystemVerilog goes online! You can now compile and run simulations in a web browser (log in with a google/facebook account):
EDA Playground: UVM example
EDA Playground: Home Page

Learn how to use ‘interface class’ construct, introduced in IEEE 1800-2012 standard. The author suggests that UVM library itself may benefit:
VerificationGentleman: SystemVerilog 2012 Has Even More ‘Class’

Did you wonder why sometimes your e-code gets blocked in a ‘sync’ statement? Maya Bar explains shortly such a scenario:
Cadence: sync and wait Actions vs. Temporal Struct and Unit Members

Did you ever want to change the default behaviour of your simulator with respect to `uvm_error? Stop now, on first `uvm_error. AMIQ compiled a how to guide:
AMIQ Consulting Blog: How to Stop the Simulation on `uvm_error

In AMIQ Resources page you can find the contents of AMIQ’s bookshelf, conference papers, various cheatsheets, recommended articles, and the blogs we periodically scan for recommended articles.
Enjoy!

Comments

Leave a Reply

Your email address will not be published. Required fields are marked *

This site uses Akismet to reduce spam. Learn how your comment data is processed.

Subscribe to our newsletter

Do you want to be up to date with our latest articles?